Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory

Resistive Random-Access Memory (ReRAM) is one of the potential candidates of emerging semiconductor memory to replace the conventional memory technologies.Besides, ReRAM offers many attractive advantages, such as non-volatile, scalable, low power consumption, and fast data access. Due to the infancy...

Full description

Saved in:
Bibliographic Details
Main Author: Arshad, Norsuhaidah
Format: Thesis
Language:English
English
Published: 2016
Subjects:
Online Access:http://eprints.utem.edu.my/id/eprint/18373/1/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf
http://eprints.utem.edu.my/id/eprint/18373/2/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf
Tags: Add Tag
No Tags, Be the first to tag this record!
id my-utem-ep.18373
record_format uketd_dc
institution Universiti Teknikal Malaysia Melaka
collection UTeM Repository
language English
English
topic T Technology (General)
T Technology (General)
spellingShingle T Technology (General)
T Technology (General)
Arshad, Norsuhaidah
Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
description Resistive Random-Access Memory (ReRAM) is one of the potential candidates of emerging semiconductor memory to replace the conventional memory technologies.Besides, ReRAM offers many attractive advantages, such as non-volatile, scalable, low power consumption, and fast data access. Due to the infancy stage of this emerging memory, ReRAM is prone to have bridge defects that could lead to test escape and reliability issues. Moreover, with the lack of electrical model for ReRAM, this research presents an electrical ReRAM model that was designed with SILVACO Electronic Design Automation (EDA) software. All ReRAM elements designed used 22nm Complementary Metal Oxide Semiconductor (CMOS) transistors and a novel non- CMOS device, known as memristor, as the memory cell array. The optimal memristor model that had been proposed by D. Biolek was chosen among three published memristor SPICE models. The selection was made based on the performance analysis.Furthermore, simulation of 2x2 cell ReRAM was executed in order to prove the functionality of the design. The designed ReRAM model functioned as desired based on the simulation results. In addition, the defective behaviors of the faulty ReRAM that were impacted by the three types of bridge defects, (bridge between wordlines; BW, bridge between bitlines; BB and bridge between bitlines and wordlines; BBW) had been studied in this work. The faulty ReRAM model was established by injecting the defects into the designed electrical ReRAM model. As this ReRAM employed a non-CMOS device as its memory cells, the defect that occurred might behave differently than that happens in conventional memories. This could cause the faulty ReRAM to escape from the available memory test. The simulation of the faulty ReRAM model showed that the bridge defects had been due to the Undefined State Faults (USFs) during reading operation. Besides, any faulty in ReRAM caused by USF makes setting the cell to the desired logical value a challenging task, and this fault is difficult to be detected. Hence, a new Design-for-Testability (DfT) technique was proposed to detect these USFs. This technique, known as Adaptive Sensing Read Voltage (ASRV), had been developed based on the mechanism of memristor, as well as the function of sense amplifier. Apart from that, a slight circuit modification was done to implement the DfT circuitry. Based on the simulation results during the DfT implementation, the proposed DfT technique successfully detects the USFs that occurred when 0Ω ≤ RBW ≤ 50Ω for BW injection, 36Ω ≤ RBB ≤ 372Ω for BB injection and 140Ω ≤ RBBW ≤ 210Ω for BBW injection.However, this DfT technique might not suitable for BBW injection as it might kill the healthy cell.
format Thesis
qualification_name Master of Philosophy (M.Phil.)
qualification_level Master's degree
author Arshad, Norsuhaidah
author_facet Arshad, Norsuhaidah
author_sort Arshad, Norsuhaidah
title Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
title_short Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
title_full Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
title_fullStr Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
title_full_unstemmed Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory
title_sort fault analysis and test for bridge defect in resistive random access memory
granting_institution Universiti Teknikal Malaysia Melaka
granting_department Faculty of Electronic and Computer Engineering
publishDate 2016
url http://eprints.utem.edu.my/id/eprint/18373/1/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf
http://eprints.utem.edu.my/id/eprint/18373/2/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf
_version_ 1747833925395283968
spelling my-utem-ep.183732021-10-08T13:00:42Z Fault Analysis And Test For Bridge Defect In Resistive Random Access Memory 2016 Arshad, Norsuhaidah T Technology (General) TK Electrical engineering. Electronics Nuclear engineering Resistive Random-Access Memory (ReRAM) is one of the potential candidates of emerging semiconductor memory to replace the conventional memory technologies.Besides, ReRAM offers many attractive advantages, such as non-volatile, scalable, low power consumption, and fast data access. Due to the infancy stage of this emerging memory, ReRAM is prone to have bridge defects that could lead to test escape and reliability issues. Moreover, with the lack of electrical model for ReRAM, this research presents an electrical ReRAM model that was designed with SILVACO Electronic Design Automation (EDA) software. All ReRAM elements designed used 22nm Complementary Metal Oxide Semiconductor (CMOS) transistors and a novel non- CMOS device, known as memristor, as the memory cell array. The optimal memristor model that had been proposed by D. Biolek was chosen among three published memristor SPICE models. The selection was made based on the performance analysis.Furthermore, simulation of 2x2 cell ReRAM was executed in order to prove the functionality of the design. The designed ReRAM model functioned as desired based on the simulation results. In addition, the defective behaviors of the faulty ReRAM that were impacted by the three types of bridge defects, (bridge between wordlines; BW, bridge between bitlines; BB and bridge between bitlines and wordlines; BBW) had been studied in this work. The faulty ReRAM model was established by injecting the defects into the designed electrical ReRAM model. As this ReRAM employed a non-CMOS device as its memory cells, the defect that occurred might behave differently than that happens in conventional memories. This could cause the faulty ReRAM to escape from the available memory test. The simulation of the faulty ReRAM model showed that the bridge defects had been due to the Undefined State Faults (USFs) during reading operation. Besides, any faulty in ReRAM caused by USF makes setting the cell to the desired logical value a challenging task, and this fault is difficult to be detected. Hence, a new Design-for-Testability (DfT) technique was proposed to detect these USFs. This technique, known as Adaptive Sensing Read Voltage (ASRV), had been developed based on the mechanism of memristor, as well as the function of sense amplifier. Apart from that, a slight circuit modification was done to implement the DfT circuitry. Based on the simulation results during the DfT implementation, the proposed DfT technique successfully detects the USFs that occurred when 0Ω ≤ RBW ≤ 50Ω for BW injection, 36Ω ≤ RBB ≤ 372Ω for BB injection and 140Ω ≤ RBBW ≤ 210Ω for BBW injection.However, this DfT technique might not suitable for BBW injection as it might kill the healthy cell. 2016 Thesis http://eprints.utem.edu.my/id/eprint/18373/ http://eprints.utem.edu.my/id/eprint/18373/1/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf text en public http://eprints.utem.edu.my/id/eprint/18373/2/Fault%20Analysis%20And%20Test%20For%20Bridge%20Defect%20In%20Resistive%20Random%20Access%20Memory.pdf text en validuser https://plh.utem.edu.my/cgi-bin/koha/opac-detail.pl?biblionumber=100175 mphil masters Universiti Teknikal Malaysia Melaka Faculty of Electronic and Computer Engineering 1. Adams, R.D., 2002. Memory Faults. In High Performance Memory Testing: Design Principles, Fault Modeling and Self Test. Springer Science & Bussiness Media, pp. 103 – 106. 2. Al-Ars, Z., 2005. DRAM Fault Analysis and Test Generation. Delft University of Technology. 3. Alexander, C., Alexander, C.K. & Sadiku, M.N.O., 2006. Basic Laws. In U. M. Comics, ed. Fundamentals of Electric Circuits. House & Home, pp. 40–43. 4. Andre, D., 2005. Nanowire-Based Programmable Architectures. ACM Journal on Emerging Technologies in Computing Systems, 1(2), pp.109–162. 5. Anonymous, 2008. PTM Low Power 22nm Metal Gate / High-K / Strained-Si. Predictive Technology Model. Available at: http://ptm.asu.edu/ [Accessed May 14, 2013]. 6. Anonymous, 2014. Shattering Memory Barriers With Crossbar Technology. Crossbar Inc. Available at: https://www.crossbar-inc.com/company.html. 7. Arshad, N., Haron, N. Z., & Salehuddin, F. 2014. Resistive Bridge Fault Simulation and Analysis for Resistive RAM. In Malaysian Technical Universities Conference on Engineering & Technology (MUCET 2014), pp. 1 – 5. 8. Bez, R. Camerlenghi, E., Modelli, a., & Visconti, a., 2003. Introduction to flash memory. Proceedings of the IEEE, 91(4), pp.489–502. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=1199079. 9. Biolek, D., Ventra, M.D.I. & Pershin, Y. V, 2013. Reliable SPICE Simulations of Memristors , Memcapacitors and Meminductors. Radioengineering, 22(4), pp.945–968. 10. Biolek, Z., Biolek, D. & Biolková, V., 2009. SPICE Model of Memristor with Nonlinear Dopant Drift. Radioengineering, 18(2), pp.210–214. 11. Bishnoi, R. Ebrahimi, M., Oboril, F., & Tahoori, M. B., 2014. Read Disturb Fault Detection in STT-MRAM. In IEEE International Test Conference. pp. 1–7. 12. Callaham, J., 2013. Newly revealed Resistive RAM (RRAM) tech puts 1 TB of storage on one chip. Available at: http://www.neowin.net/news/newly-revealed-resistive-ram-rram-tech-puts-1-tb-of-storage-on-one-chip [Accessed September 12, 2014]. 13. Chen, C.-Y. Shih, H.-C., Wu, C.-W., Lin, C.-H., Chiu, P.-F., Sheu, S.-S., & Chen, F. T., 2015. RRAM Defect Modeling and Failure Analysis Based on March Test and a Novel Squeeze-Search Scheme. IEEE Transactions on Computers, 64(1), pp.180–190. 14. Cheng, D. Hsiung, H., Liu, B., Chen, J., Zeng, J., Govindan, R., & Gupta, S. K., 2013. A New March Test for Process-Variation Induced Delay Faults in SRAMs. In 22nd Asian Test Symposium. Ieee, pp. 115–122. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6690627 [Accessed May 16, 2014]. 15. Cheng, C.-T.C.C.-T., Tsai, Y.-C.T.Y.-C. & Cheng, K.-H.C.K.-H., 2010. A high-speed current mode sense amplifier for Spin-Torque Transfer Magnetic Random Access Memory. 2010 53rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). 16. Chua, L., 1971. Memristor - The Missing Circuit Element. IEEE Transactions on Circuit Theory, CT-18(5), pp.507–519. 17. Chung, A. Deen, J., Lee, J.-S., & Meyyappan, M., 2010. Nanoscale memory devices. Nanotechnology, 21(41), p.412001. Available at: http://www.ncbi.nlm.nih.gov/pubmed/20852352 [Accessed September 23, 2014]. 18. Chung, C.-C., Lin, H. & Lin, Y.-T., 2007. A Multilevel Read and Verifying Scheme for Bi-NAND Flash Memories. IEEE Journal of Solid-State Circuits, 42(5), pp.1180–1188. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=4160064. 19. Clara, S. & Benoit, J., 2012. Disabling Portions of Memory With Defects. , 2(12), pp.1–13. 20. Cockburn, B.F., 1994. Tutorial on Semiconductor Memory Testing. Journal of Electronic Testing, 5(4), pp.321–336. 21. Constantinescu, C., 2003. Trends and Challenges in VLSI Circuit Reliability. IEEE Computer Society, pp.14–19. 22. DeHon, A. & Naeimi, H., 2005. Seven Strategies for Tolerating Highly Defective Fabrication. IEEE Design and Test of Computers, 22(4), pp.306–315. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=1492290. 23. Eshraghian, K. Cho, K., Kavehei, O., Kang, S., Abbott, D., & Kang, S. S., 2011. Memristor MOS Content Addressable Memory ( MCAM ): Hybrid Architecture for Future High. IEEE Transaction on Very Large Scale Integration (VLSI) Systems, 19(8), pp.1407–1417. 24. Floyd, T.L., 2009. Memory and Storage. In Digital Fundamentals. USA: Pearson Prentice Hall, pp. 525–589. 25. Fujisaki, Y., 2010. Current Status of Nonvolatile Semiconductor Memory Technology. Japanese Journal of Applied Physics, 49(10), p.100001-1 – 100001-14. Available at: http://jjap.jsap.jp/link?JJAP/49/100001/ [Accessed January 7, 2014]. 26. Ginez, O., Portal, J.-M. & Muller, C., 2009. Design and Test Challenges in Resistive Switching RAM (ReRAM): An Electrical Model for Defect Injections. In 4th IEEE European Test Symposium. Ieee, pp. 61–66. 27. Goor, A.J. Van de, 1998. Testing Semiconductor Memories, Theory and Practice, John Wiley & Sons Inc. 28. Group, N. integration and modeling (NIMO). (n.d.). Predictive Technology Model. ASU. Retrieved from http://ptm.asu.edu/ 29. Group, N.I. and M. (NIMO), 2011. INTRODUCTION. Available at: http://ptm.asu.edu/. Hamdioui, S., 2001. Testing Multi-Port Memories: Theory and Practice. Delft University of Technology, The Netherlands. 30. Hamdioui, S., 2004. Semiconductor Memory Architecture. In Testing Static Random Access Memory: Defects, Fault Models and Test Patterns. Springer Science & Bussiness Media, pp. 18 – 34. 31. Hamdioui, S., Taouil, M. & Haron, N.Z., 2013. Testing Open Defects in Memristor-Based Memories. IEEE Transactions on Computers, pp.1–14. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6636885 32. Haron, N.Z., Arshad, N. & Salehuddin, F., 2014. Performance Analysis of Memristor Models for RRAM Cell Array Design using SILVACO EDA. Jurnal Teknologi, 3(68), pp.1–6. 33. Haron, N.Z. & Hamdioui, S., 2011. On Defect Oriented Testing for Hybrid CMOS/Memristor Memory. 2011 Asian Test Symposium, pp.353–358. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=6114755 [Accessed December 18, 2013]. 34. Hentrich, D., Oruklu, E. & Saniie, J., 2009. Performance evaluation of SRAM cells in 22nm predictive CMOS technology. 2009 IEEE International Conference on Electro/Information Technology, pp.470–475. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=5189662. 35. Ho, Y., Huang, G.M. & Li, P., 2011. Dynamical Properties and Design Analysis for Nonvolatile Memristor Memories. IEEE Transactions on Circuits and Systems, 58(4), pp.724–736. 36. Ho, Y., Huang, G.M. & Li, P., 2009. Nonvolatile Memristor Memory : Device Characteristics and Design Implications. In IEEE/ACM International Conference on Computer Aided Design Digest of Technical Paper. pp. 485–490. 37. Hodges, D., Jackson, H. & Saleh, R., 2003. Semiconductor Memory Design. In Analysis and Design of Digital Integrated Circuits. NY: McGraw Hill Education. pp. 359–398 38. Hongal, V.A. Kotikalapudi, R., Kim, Y., & Choi, M., 2011. A Novel “ Divide and Conquer ” Testing Technique for Memristor based Lookup Table. In Proceedings of IEEE 54th International Midwest Symposium on Circuits and Systems. pp. 1–4. 39. ITRS, 2011. Emerging Research Devices. Available online http://www.itrs.net/Links/2011ITRS/Home2011.htm Inc., L.T., 2011. Design Support. Available at: http://www.li-sion.com.tw/en/support.asp?pno=5 [Accessed February 16, 2015]. 40. Intersil, 2010. How Semiconductors are Made. Available at: http://rel.intersil.com/docs/lexicon/manufacture.html [Accessed March 2, 2015]. 41. Joglekar, Y.N. & Wolf, S.J., 2009. The elusive memristor: properties of basic electrical circuits. European Journal of Physics, 30(4), pp.661–675. 42. Kannan, S. et al., 2013. Sneak-path Testing of Memristor-based Memories. 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems, pp.386–391. 43. Kavehei, O. Cho, K., Lee, S., Kim, S., Abbott, D., & Esbraghian, K., 2011. Fabrication and Modeling of AgITi02IITO Memristor. In Proceedings of IEEE 54th International Midwest Symposium on Circuits and Systems. pp. 2–5. 44. Klanderman, R., 2012. Flash Memory Device : Electrical Modeling and Simulation. Delft University of Technology. 45. Koeberl, P., Kocabas, U. & Sadeghi, A.-R., 2013. Memristor PUFs: A New Generation of Memory-based Physically Unclonable Functions. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.428–431. Available at: http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6513542. 46. Kügeler, C. Meier, M., Rosezin, R., Gilles, S., & Waser, R., 2009. High density 3D memory architecture based on the resistive switching effect. Solid-State Electronics, 53(12), pp.1287–1292. Available at: http://linkinghub.elsevier.com/retrieve/pii/S0038110109002871 [Accessed February 11, 2015]. 47. Lakys, Y. Zhao, W. S., Klein, J.-O., & Chappert, C., 2011. Hardening Techniques for MRAM-Based Non- Volatile Storage Cells and Logic. In RADECS 2011 Proceedings. pp. 669–674. 48. Lastras-Montano, M.A., Ghofrani, A. & Cheng, K.-T., 2015. HReRAM : A Hybrid Reconfigurable Resistive Random-Access Memory. In Design, Automation & Test in Europe Conference & Exhibition (DATE). pp. 1299–1304. 49. Meilxner, A., Banik, J. & Corporation, I., 1997. Weak Write Test Mode: An SRAM Cell Stability Design for Test Technique. In International Test Conference. pp. 1043–1052. 50. Nor Zaidi Haron, Norsuhaidah Arshad, F.S., 2014. Performance Analysis of Memristor Models for RRAM Cell Array Design using SILVACO EDA. Jurnal Teknologi, 3, pp.1–6. 51. O. Kavehei, A. Iqbal, Y. S. Kim, K. Eshraghian, S.F. Al-Sarawi, and D.A., 2010. The Fourth Element : Characteristics , Modelling , and Electromagnetic Theory of the Memristor. In Proceedings of the Royal Society Mathematical, Physical & Engineering Sciences. pp. 1–28. 52. Ohring, M. & Kasprzak, L., 2014. An Overview of Electronic Devices and Their Reliability. In Reliability and Failure of Electronic Materials and Devices. Academic Press, pp. 1–38. 53. Prodromakis, T. & Peh, B.P., 2011. A Versatile Memristor Model With. IEEE Transactions on Electron Devices, 58(9), pp.3099–3105. 54. Prodromakis, T., Michelakis, K. & Toumazou, C., 2010. Fabrication and Electrical Characteristics of Memristors with T iO 2 / T iO 2 + x active layers. In Proceedings of 2010 IEEE International Symposium on Circuits and Systems. pp. 1520–1522. 55. Rajendran, J. Maenm, H., Karri, R., & Rose, G. S., 2011. An Approach to Tolerate Process Related Variations in Memristor-Based Applications. 2011 24th Internatioal Conference on VLSI Design, pp.18–23. Available at: http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=5718771 [Accessed January 9, 2015]. 56. Salvo, B. De & Buckley, J., 2010. Organic-based molecular and polymer memories. White Paper for ITRS Working Group, (March), pp.1–11. 57. Strukov, D.B. Snider, G. S., Stewart, D. R., & Williams, R. S., 2008. The missing memristor found. Nature, 453(7191), pp.80–3. Available at: http://www.ncbi.nlm.nih.gov/pubmed/18451858 [Accessed December 12, 2013]. 58. Texas Instruments, 2009. FRAM – New Generation of Non-Volatile Memory. Texas Instruments. 59. Thanigai, P. & Goh, W., 2014. MSP430 TM FRAM Quality and Reliability. Texas Instruments, (March 2012), pp.1–9. 60. Vallance, C., 2012. ReRAM competes to be tech’s next memory chip standard. BBC News, pp.1–11. Available at: http://www.bbc.com/news/technology-16725529 [Accessed January 18, 2016]. 61. Wang, L.-T., Stroud, C.E. & Touba, N.A., 2006. Low Power Testing. In System-on-Chip Test Architectures: Nanometer Design for Testability. USA: Morgan Kaufmann, pp. 207–350. Available at: http://www.ncbi.nlm.nih.gov/pubmed/24811280. 62. Williams, T.W. & Parker, K.P., 1983. Design for Testability-A Survey. Proceedings of the IEEE, 71(1), pp.98–112. 63. Wong, H.P., Raoux, S., Kim, S., Liang, J., Reifenberg, J. P., Rajendran, B., Goodson, K. E., 2010. Phase Change Memory. Proceeding of the IEEE, 98(12), pp.1–28. 64. Yang, J., Wang, B., Wu, Y., & Ivanov, A. 2006. Fast detection of data retention faults and other SRAM cell open defects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25(1), pp.167–180. 65. Yoon, D.H., Muralimanohar, N., Chang, J., Ranganathan, P., Jouppi, N. P., & Erez, M.., 2011. FREE-p: Protecting non-volatile memory against both hard and soft errors. 2011 IEEE 17th International Symposium on High Performance Computer Architecture, (1), pp.466–477. 66. Zaidi, N. (2012). Testability and Fault Tolerance for Emerging Nanoelectronic Memories. Delft University of Technology. 67. Zhao, R. Shi, L. P., Wang, W. J., Yang, H. X., Lee, H. K., Lim, K. G., Chua, E. K. 2007. Study of Phase Change Random Access Memory ( PCRAM ). Non-volatile Memory Technology Symposium, pp.36-39.